Реферат: Проектирование Цифрового устройства

МИНИСТЕРСТВО ВЫСШЕГО ОБРАЗОВАНИЯУКРАИНЫ

Сумской Государственный Университет

Кафедра Автоматики и ПромышленнойЭлектроники

ПОЯСНИТЕЛЬНАЯ ЗАПИСКА

к курсовому проекту по курсу:«Цифровая схемотехника»

по теме: «Проектирование Цифровогоустройства»

ФЗ 51.6.090803.574ПЗ

                        Руководительпроекта                         Мировицкий Г. П.

                        Проектировалстудент                       Симоненко А.В.

                        группыПЭЗ-51

                        Оценкаработы

                        Членыкомиссии:

Сумы 1999

СОДЕРЖАНИЕ

ВВЕДЕНИЕ… 3

1. РАЗРАБОТКА СТРУКТУРНОЙ и ФУНКЦИОНАЛЬНОЙ СХЕМЫ УСТРОЙСТВА… 5

1.1 Разработка структурной схемы устройства… 5

1.2 Разработка функциональной схемы устройства… 6

2. РАЗРАБОТКА ПРИНЦИПИАЛЬНОЙ СХЕМЫ УСТРОЙСТВА… 8

2.1 Проектирование схемы детектора фронтов… 8

2.2. Генератор тактовых импульсов… 9

2.3 Схема подсчета тактовых импульсов… 10

2.4 Схема преобразования параллельного кода в последовательный… 11

2.5 Схема управления… 12

3. Заключение… 15

Список ЛИТЕРАТУРЫ… 16

ПРИЛОЖЕНИЕ 1. Алгоритм работы устройства… 17


ВВЕДЕНИЕ

       Внедрение микропроцессорной, и вообще цифровой,техники в устройства управления промышленными объектами требует от специалистовсамого различного профиля быстрого освоения этой области знания. В процессеразработки функциональных схем цифровых устройств отчетливо выделяются двахарактерных этапа. На первом этапе, который можно назвать структурнымпроектированием, заданный неформально алгоритм разработчик представляет в видепоследовательности некоторых операторов, таких, как получение результата, счет,преобразование кода, передача информации. При этом он старается использоватьограниченный набор общепринятых операторов. При использовании этих операторов,как правило, алгоритм можно представить довольно небольшим их числом. Структураалгоритма становится обозримой, понятной, легко читаемой и однозначной. На основеполученной структуры алгоритма формулируются технические требования к схемам,реализующим отдельные операторы. По техническим требованиям в качествефункциональных узлов схемы можно применить либо готовые блоки в интегральномисполнении, либо, если таких микросхем в наличии нет, синтезировать их из болеепростых элементов. Подобный синтез первоначально производится при помощиалгебры логики, после чего по полученным функциям строится эквивалентная схема.Однако, как правило, синтезированные схемы хуже их аналогов в интегральномисполнении. К этому приводят следующие обстоятельства: большее время задержки,большие габариты, большее потребление энергии. Поэтому результативногопроектирования цифровых устройств разработчик должен уметь: выбрать наиболееприемлемый вариант решения поставленной задачи, работать с алгеброй логики,знать основные цифровые элементы и уметь их применять, по возможности знатьнаиболее простые и распространенные алгоритмы решения основных задач. Знаниенаиболее распространенных инженерных приемов в проектировании устройствпозволит в будущем сразу воспользоваться готовой схемой, не занимаясьбесполезной работой. Необходимо заметить, что реализация схемы гораздо сложнее,чем простое решение задачи в алгебре логики и наборе полученной функции излогических элементов. В действительности даже, казалось бы, самые простыеэлементы, необходимо включать по определенной схеме, знать назначения всехвыводов. Необходимо знать, чем различаются элементы в пределах серии. Пониманиевнутренней логики микросхемы особенно важно именно для специалистов поавтоматике и промышленной электронике, поскольку цифровые микросхемы изначальносоздавались для выполнения строго определенных функций в составе ЭВМ. Вусловиях автоматики и радиотехники они часто выполняют функции, незапланированные в свое время их разработчиками, и грамотное использованиемикросхем в этих случаях прямо зависит от понимания логики их работы. Хорошеезнание тонкостей функционирования схем узлов становится жизненно необходимымпри поиске неисправностей, когда нужно определить, имеется ли неисправность вданном узле или же на его вход поступают комбинации сигналов, на которые схемаузла не рассчитана. Составление тестов, а тем более разработка само проверяемыхсхем также требуют очень хороших знаний принципов работы узлов.


1. РАЗРАБОТКА СТРУКТУРНОЙ иФУНКЦИОНАЛЬНОЙ СХЕМЫ УСТРОЙСТВА1.1 Разработка структурнойсхемы устройства

       Работу устройства можно представить следующимобразом. По переднему фронту импульса начинается отсчет.  При поступлении заднегофронта импульса или при превышении заданного интервала времени отсчетостанавливается. Если значение в счетчике превышает заданный предел, на панелиотображения выводится сигнал «ошибка». В противном случае на панели отображенияотображается содержимое счетчика и величина измеренного интервала передается вустройство обработки. Описанный алгоритм отображен в приложении 1.

       В состав структурной схемывойдут следующие элементы:

·    Детектор фронтов

·    Схема подсчета тактовых импульсов

·    Тактовый генератор

·    Схема преобразования параллельного кода в последовательный

·    Схема отображения

Структурную схему можнопредставить так, как отображено на рисунке 2.

/> <td/> />
Рисунок 1. Структурная схема

При поступлении переднего фронтаизмеряемого импульса детектор фронтов (ДФ) формирует управляющий сигнал наначало счета. Формируемые тактовым генератором (ТГ) импульсы поступают на схемуподсчета тактовых импульсов (СУ). При поступлении заднего фронта измеряемогоимпульса ДФ формирует сигнал останавливающий.счет. При этом количество подсчитанныхимпульсов выводится на схему отображения (УО) и через схему преобразованияпараллельного кода в последовательный (ПК) в устройство обработки.

1.2 Разработкафункциональной схемы устройства

       Детектор фронтов состоит из двух ждущихмультивибраторов и триггера. Один ждущий мультивибратор предназначен длявыделения заднего фронта импульса и сбрасывает триггер. Другой ждущиймультивибратор предназначен для выделения переднего фронта импульса ипереключает триггер в единичное состояние и вырабатывает сигнал сбросасчетчика. Оба мультивибратора вырабатывают импульсы длительности необходимойдля надежного переключения триггера.

       Схема подсчета тактовых импульсов состоит изэлемента «И» и счетчика. Элемент «И» пропускает тактовые импульсы отгенератора, только если триггер находится в единичном состоянии. Счетчикобеспечивает подсчет импульсов.

       Схема отображения содержит регистр, дешифратор ииндикаторы. Использование регистра позволяет избежать мерцания во времяподсчета. Запись в регистр выполняется только по окончанию подсчетадлительности импульса. Дешифратор необходим для преобразованиядвоично-десятичного кода в код обеспечивающий отображение соответствующейцифры.

/> <td/> />
Рисунок 2. Функциональная схема

       Схема преобразования параллельного кода впоследовательный состоит из преобразования кода 8421 в код 8421+3 и сдвигового регистра обеспечивающего преобразованиепараллельного кода в последовательный.

       В состав тактового генератора входит генераторпрямоугольных импульсов заданной частоты и делитель частоты для сдвиговогорегистра.

       Определим разрядность индикатора по формуле:

/>,

где />-максимальное значение измеряемой величины; /> -точностьизмерения.

/>

       Разрядность регистра с параллельным вводом ипоследовательным выводом информации определим исходя из того, что дляотображения каждого десятичного разряда требуется 4 бита:

/>


2. РАЗРАБОТКАПРИНЦИПИАЛЬНОЙ СХЕМЫ УСТРОЙСТВА2.1 Проектирование схемыдетектора фронтов

Рисунок 6. Схемадетектора фронтов

/> <td/> />

       Ждущий мультивибратор можно реализовать на основемикросхемы К155АГ3. Она содержит два ждущих мультивибратора с возможностьюперезапуска. Каждый мультивибратор содержит выходы />и/>, входсброса />и два входа запуска: /> — прямой и /> — инверсный, с активнымнизким уровнем.

/>Длительностьимпульса (при />)вычислим по формуле:

/>.

Паразитная емкость вывода RT наземлю около 50пФ, поэтому максимальное время выходного импульса 40нс.

Потребляемый микросхемой К155АГ3 ток составляет 66мА,стекающий коллекторный ток выводов может быть до 40мА.

       Вход />используетсядля прямого запуска мультивибратора. Сигнал сброса формируется с помощью RC звена: времязадающий конденсатор /> подключается между выводами14 и 15 а также 6 и 7; резисторы /> подключаютсяот выводов 15 и 7 к положительной шине питания 5В. Примем номиналы /> и />:

/>Триггер можнореализовать на основе микросхемы К555ТР2. Она содержит 4 независимых RS — триггера, имеющих общую шину питания. У каждого триггераесть входы /> и />, а также комплиментарныйвыход />.

Логический элемент «И» можнореализовать на основе микросхемы К155ЛИ1. Она содержит четыре логическихэлемента «И». Время задержки для микросхемы К155ЛИ1: />, />, потребляемый ток />, />.

/>Схемадетектора фронтов и электронного ключа отображена на рисунке 6. Междумикросхемой К155АГ3 и RS триггером стоит логическийэлемент «И», на один вход которого подается логический нуль и предусмотренакнопка «Пуск» для установки RS триггера в исходное(нулевое) состояние. В качестве элемента «И» используется один элементмикросхемы К155ЛИ1.

2.2. Генератор тактовыхимпульсов

Рисунок 7. Схематактового генератора

/> <td/> />

/>       Дляработы счетчика и сдвигового регистра необходим генератор тактовых импульсов.Схема генератора приведена на рисунке 7. Он построен на двух элементах И-НЕ микросхемыК155ЛА3. Частота генерации определяется из соотношения:

/>,

/>где НОК — наименьшее общее кратное,

 /> - точностьизмерения,

 />  /> — скоростьпередачи.

/>

       Частота генерации определяется как:

/>.

       Приняв />,определим номинал резистора:

/>/>

       Примем значение из номинального ряда />.

       Для получения частот в 10000Гци 1200Гц необходимо применить делители частоты. В качестве делителей удобноиспользовать счетчики К155ИЕ2 и К155ИЕ4. Для реализации деления на 5 используемсчетчик К155ИЕ2 (DA3) подавая тактовые импульсы на входC1 на выходе Q1 получим частотуделенную на 5. Для реализации деления на 6 используем счетчик К155ИЕ4.

2.3 Схема подсчета тактовыхимпульсов

Рисунок 8. Схемаподсчета тактовых импульсов

/> <td/> />

       Для реализации схемы подсчета тактовых импульсовиспользуем счетчики К155ИЕ6. В этих счетчиках имеется возможностьпоследовательного подключения с целью увеличения разрядности. При этом выход «>15» подключается к тактовому входу следующего счетчика.Для получения на выходе 12 разрядного двоично-десятичного кода требуется 3счетчика К155ИЕ6. Вывод переноса последнего счетчика используем для индикацииошибки.

2.4 Схема преобразования параллельногокода в последовательный

Рисунок 9. Схемапреобразования

/>       Дляреализации схемы преобразования параллельного кода в последовательный выберемпараллельно-последовательный регистр сдвига с параллельной записью данныхК155ИР1. К155ИР1 — четырехразрядный сдвиговый регистр.

       Вход разрешения параллельной загрузки />служит для выбора режимаработы регистра. Если на вход /> подаетсянапряжение высокого уровня, разрешается работа тактового входа C2. В момент прихода на этот вход отрицательного перепадатактового импульса в регистр загружаются данные с параллельных входов D0-D3.

       Если на вход /> подано напряжение низкогоуровня, то разрешается работа тактовому входу С1. Отрицательные фронты последовательноститактовых импульсов сдвигают данные от последовательности входа S1 на выход Q0, затем на Q1,Q2,Q3, т.е. вправо.

       Для построения 12 разрядного регистра сдвиганеобходимо соединить 3 регистра последовательно, причем выход Q3предыдущего регистра соединяется со входом S1 последующего.

2.5 Схема управления/> <td/> />
Рисунок 10. Схема управления

       Для согласования отдельных узлов устройстванеобходима схема управления. В ее функции входит следующее:

·    Управление записью данных в регистры сдвига

·    Управление сдвигом данных записанных в регистры сдвига

·    Прекращение передачи данных в устройство обработки после передачи12 разрядов

Анализ вышесказанного, позволяет сделать следующие выводы онеобходимых компонентах схемы управления:

1.   Дляуправления сдвигом потребуется счетчик с пересчетом до 12

2.   Дляпрекращения передачи потребуется электронный ключ на триггере

3.   Длясогласования по времени переключения логических элементов потребуются элементызадержки.

Предлагаемая схема управленияизображена на рисунке 10.

По заднему фронту изменяемогоимпульса с выхода детектора фронтов на входную схему задержки поступаетположительный импульс. Со схемы задержки этот импульс поступает на вход />триггера (электронногоключа), а также на вход C2 регистров сдвига. На выходе /> формируется логический нольи через элемент задержки поступает на вход />регистровсдвига, что переводит регистры в режим сдвига. После поступления заднего фронтаимпульса на вход С2 регистров сдвига происходит запись в эти регистры с выходасхемы подсчета. В это время триггер уже установлен в единичное состояние илогическая единица с выхода /> поступаетна  элемент «И-НЕ», что дает возможность тактовым импульсам поступать на вход C1 регистров сдвига. По достижении счетчиком значения 12через элемент «И» сигнал  поступает на вход R триггераи переводит его в нулевое состояние, тем самым закрывая тактовым импульсам путьчерез элемент «И-НЕ».

/> <td/> />
Рисунок 11. Временные диаграммы работы устройства
3. Заключение

       При выполнении данной курсовой работы были проведенырасчет и построение узлов и комбинационных схем.

Учитывались основные параметры влияющие на работу цифровогоустройства. Был произведен синтез структурной, функциональной и электрическойпринципиальной схем заданного устройства, выбраны и обоснованы критерии подбораинтегральных микросхем, проведена их сравнительная оценка.


Список ЛИТЕРАТУРЫ

1.   Методическиеуказания к курсовой работе по дисциплине «Цифровая схемотехника» на тему«Проектирование цифрового устройства».

2.   Шило В.Л.Популярные цифровые микросхемы: справочник, — Москва; металлургия, 1988,-352 с.

3.   ОрнадскийП.П. Автоматические измерения и приборы. — К.; Техника,1990 -  448с.

4.  Цифровые и аналоговые интегральные микросхемы: Справочник / С.В.Якубовский,Л.И.Нильсон, В.И.Кулешова и др./ Под ред. С.В.Якубовского.-М.: Радио и связь,1990.-496с.


ПРИЛОЖЕНИЕ 1. Алгоритм работы устройства

/>

еще рефераты
Еще работы по радиоэлектронике